首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

EPM3128的输出高电平怎样变到5V?

EPM3128的输出高电平怎样变到5V?

按照datasheet,我把VccInt和VccIO都接了3.3V,这样的话每个IO输出的高电平为3.3V,但是我需要5V的输出。能不能通过接5V上拉电阻的方法来实现啊?我试了用10K电阻,但是没反应,输出还是3.3V。用太小的电阻又担心电流太大,而且还不知道能不能拉到5V……
如果不能用上拉电阻的方法,应该如何实现这个转换呢?
另外,能不能把VccInt接3.3V,而VccIO接5V,以达到输出5V的目的?
换cpld或者用电平转换芯片吧,我的用的是74lvx4245
使用开漏
楼主:
  请问epm3128能否和44b0结合起来使用?
   谢谢
返回列表