首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

大家帮忙呀,调不通呀

大家帮忙呀,调不通呀

library ieee;--正弦信号发生器实验书P11 use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sinp is port(clk:in std_logic; doutut std_logic_vector(7 downto 0));--8位波形数据输出 end sinp; architecture art of sinp is component r port(address:in std_logic_vector(5 downto 0); inclock:in std_logic; qut std_logic_vector(7 downto 0)); end component; signal q1:std_logic_vector(5 downto 0);--设定内部节点为地址计数器 begin process(clk) is --lpm-rom地址发生程序进程 begin if clk'event and clk='1' then q1<=q1+1; end if; end process; u1: r port map(address=>q1,q=>dout,inclock=>clk);--例化 end;
返回列表