首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

使用Cyclone PLL的一个问题。[求助]

使用Cyclone PLL的一个问题。[求助]

本人是初学者,想请教一下各位前辈:我想通过Altpll实现一个由50MHz到560KHz的分频,不料在Altpll的巨集(Mega-Wizard)里面设置时发现分频最多不能低于1/3(M/N),否则就会提示出错。后来我查过资料以后发现好像还有个什么post-scale counter可以使用,以实现更小的分频,但是我不知道在哪里进行设置。请教了!
我是菜鸟!
PLL主要功能是做倍频用的,做分瓶太浪费了,要分瓶自己写一点代码即可实现,或放几个393即可
yes,i support
返回列表