首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

比赛忙完了,以后有时间会常来这里的。

比赛忙完了,以后有时间会常来这里的。

暑假都在培训,很少来论坛。对大家说声sorry。培训期间FPGA用得比较少,主要是模拟电路知识的培训。暑假做AD控制,存储器控制,和单片机通信做得还比较成功。大家有什么问题可以一起讨论(这些对DX们可能是很简单的问题啦,不要见笑哦,我也是新手,也希望和新手一起进步)。我现在正在学习nios,希望有同道一起讨论,大家可以加我QQ。
另:寻找有项目的研究生一起合作做课题(唯一目的就是学知识),最好是武汉的,可以当面讨论。

大浪淘沙
留下的全是金子
返回列表