首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

vhdl程序片段 ...... ARCHITECTURE... ... SIGNAL rdadder:INTEGER RANGE 8 DOWNTO 0; SIGNAL databuffer:BIT_VECTOR(8 DOWNTO 0); ... PROCESS(clock) BEGIN IF clock'EVENT AND clock='1' THEN IF reset='1' THEN rdadder<=0; databuffer<=(OTHERS=>'0'); ... 请问在这里的OTHERS是什么用途?
ftp://bbsupload:5t6H7n8@210.51.188.157
就是“000000000”吧
??!!
others 是指总线状态全为赋值后的值,VHDL的任何书里面都有的
我要这天再遮不住我眼 要这地再埋不了我心 要这众生都明白我意 要这诸佛都烟消云散
hehe 知道了 谢谢
ftp://bbsupload:5t6H7n8@210.51.188.157
返回列表