首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问,使用Cyclone组件的LVDS,如何配置一对管脚是LVDS输出输入

请问,使用Cyclone组件的LVDS,如何配置一对管脚是LVDS输出输入

请问,使用Cyclone组件的LVDS,如何配置一对管脚是LVDS输出输入
你只需要配置它正极的管脚就可以了.
请问时钟的LVDS差分输入,可以复用为普通的LVDS差分IO输入么

[此贴子已经被作者于2007-12-12 14:13:04编辑过]

在QUARTUS里有LVDS的模块,你设置一下就可以用.配置引脚时先设正,再看来负,不懂QQ加我:767914192

真诚让沟通更简单! QQ:767914192

在QUARTUS里有LVDS的模块,你设置一下就可以用.配置引脚时先设正,再看来负,不懂QQ加我:767914192

真诚让沟通更简单! QQ:767914192
返回列表