首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ISE完成设计时出现错误!!!???高手解答!!!

ISE完成设计时出现错误!!!???高手解答!!!

综合已经通过了,但是完成设计时,出现了一下错误:
Processing BMM file "edkBmmFile.bmm" ...
ERROR:NgdBuild:989 - Failed to process BMM information edkBmmFile.bmm
Checking expanded design ...

ERROR:NgdBuild:604 - logical block 'XLXI_1/nsp' with type 'nsp_wrapper' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'nsp_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/ninta' with type 'ninta_wrapper'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, case mismatch between the block name and the edif or ngc file
   name, or the misspelling of a type name. Symbol 'ninta_wrapper' is not
   supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/a0' with type 'a0_wrapper' could not
   be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'a0_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/nrd' with type 'nrd_wrapper' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'nrd_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/nwr' with type 'nwr_wrapper' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'nwr_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/ncs' with type 'ncs_wrapper' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'ncs_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/casin' with type 'casin_wrapper'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, case mismatch between the block name and the edif or ngc file
   name, or the misspelling of a type name. Symbol 'casin_wrapper' is not
   supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/ir' with type 'ir_wrapper' could not
   be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'ir_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/proc_sys_reset_0' with type
   'proc_sys_reset_0_wrapper' could not be resolved. A pin name misspelling can
   cause this, a missing edif or ngc file, case mismatch between the block name
   and the edif or ngc file name, or the misspelling of a type name. Symbol
   'proc_sys_reset_0_wrapper' is not supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/mdm_0' with type 'mdm_0_wrapper'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, case mismatch between the block name and the edif or ngc file
   name, or the misspelling of a type name. Symbol 'mdm_0_wrapper' is not
   supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/clock_generator_0' with type
   'clock_generator_0_wrapper' could not be resolved. A pin name misspelling can
   cause this, a missing edif or ngc file, case mismatch between the block name
   and the edif or ngc file name, or the misspelling of a type name. Symbol
   'clock_generator_0_wrapper' is not supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/din' with type 'din_wrapper' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'din_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/lmb_bram' with type
   'lmb_bram_wrapper' could not be resolved. A pin name misspelling can cause
   this, a missing edif or ngc file, case mismatch between the block name and
   the edif or ngc file name, or the misspelling of a type name. Symbol
   'lmb_bram_wrapper' is not supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/ilmb_cntlr' with type
   'ilmb_cntlr_wrapper' could not be resolved. A pin name misspelling can cause
   this, a missing edif or ngc file, case mismatch between the block name and
   the edif or ngc file name, or the misspelling of a type name. Symbol
   'ilmb_cntlr_wrapper' is not supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/dlmb_cntlr' with type
   'dlmb_cntlr_wrapper' could not be resolved. A pin name misspelling can cause
   this, a missing edif or ngc file, case mismatch between the block name and
   the edif or ngc file name, or the misspelling of a type name. Symbol
   'dlmb_cntlr_wrapper' is not supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/dlmb' with type 'dlmb_wrapper' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'dlmb_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/ilmb' with type 'ilmb_wrapper' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, case mismatch between the block name and the edif or ngc file name, or
   the misspelling of a type name. Symbol 'ilmb_wrapper' is not supported in
   target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/mb_plb' with type 'mb_plb_wrapper'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, case mismatch between the block name and the edif or ngc file
   name, or the misspelling of a type name. Symbol 'mb_plb_wrapper' is not
   supported in target 'spartan3e'.

ERROR:NgdBuild:604 - logical block 'XLXI_1/microblaze_0' with type
   'microblaze_0_wrapper' could not be resolved. A pin name misspelling can
   cause this, a missing edif or ngc file, case mismatch between the block name
   and the edif or ngc file name, or the misspelling of a type name. Symbol
   'microblaze_0_wrapper' is not supported in target 'spartan3e'.
请教路过的大神们!!!谢谢!!!
这个问题解决了,原来是IP核的名称与端口名不能一样!
返回列表