首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]关于在debussy的单步执行问题

[求助]关于在debussy的单步执行问题

关于在debussy的单步执行问题:
每次import design后,编译是通过的:
Cmd > Analyzing...
source file "g:\Novas\Debussy\WORK\AFIFO\afifo.v"
source file "g:\Novas\Debussy\WORK\AFIFO\dpram.v"
source file "g:\Novas\Debussy\WORK\AFIFO\test.v"
  included file "afifo.v"
  included file "dpram.v" - 0 error(s), 2 warning(s)
Linking... 0 error(s), 0 warning(s)
Total   0 error(s),   2 warning(s)
---Any error or warning message, please refer to "g:\Novas\Debussy\bin\Debussy.exeLog\compiler.log"---
但是当运行的时候出现:
Cmd > !run -mti vsim -c work.fifo_test novas.novas +fsdbfile+g:\Novas\Debussy\WORK\AFIFO\dump_i.fsdb
simulator catch signal 12
Process is terminated.
Warning --- No simulations.
fsdbInteractive
我知道这是由于产生不了fsdb文件的原因,我通过配置在modelsim上可以产生dump_i.fsdb,当我在debussy用nwave时,调用modelsim产生的dump_i.fsdb,后进行模拟都是没问题的.问题是我这个debussy自己是不能够产生fsdb文件,那是不是就不可以单步执行代码了?
请大侠指教!!!
返回列表