首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

怎样在ISE中调用 用Synplify综合的edf文件?

怎样在ISE中调用 用Synplify综合的edf文件?

我是先在在Synplify中综合一个源文件,生成edif文件,比如cou.edf,然后再ISE中新建一个工程,将cou.edf文件作为一个底层文件添到这个工程中,这个工程中还有其他源文件(VHDL),最后用XST综合。
该怎样做呢,谢谢
作为黑盒子,给它个vhdl的wrapper。
请xlnxfpga最好给出一个小例子说明一下,呵呵,要不挺不好理解的!谢谢
我是天堂的使者,向我倾诉吧
返回列表