首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ModelSim中XILINX的库的设置问题

ModelSim中XILINX的库的设置问题

我在MODESIM中设置XILINX的仿真库时出错:我的操作步骤如下:
  1)在ModelSim的安装目录下建立一个文件夹
  2)在ModelSim中将位置指向该文件夹(FILE--CHANGE SITE)
  3)在ModelSim中选择要编译的库(FILE--NEW LIBRARY),编译出错,提示找不到库。
如我要编译\Xilinx\vhdl\src\simprims下的simprim_SMODEL.vhd,提示找不到库 simprim,因为在simprim_SMODEL.vhd中声明了如下的库:
  library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.numeric_std.all;
library IEEE;
use IEEE.VITAL_Timing.all;
library simprim;
use simprim.VCOMPONENTS.all;
请问我该如何解决这一问题?请各位大侠指教!谢谢!
在xilinx网站上搜索“compxlib”
返回列表