首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]哪位仁兄帮帮小弟!

[求助]哪位仁兄帮帮小弟!

小弟现在需要实现一个倍频,用vhdl语言描述,哪位大侠有vhdl的 源代码给小弟参考一下,不胜感激!defengaqd@163.com.谢谢!!

 

返回列表