首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

这个警告怎么解决?

这个警告怎么解决?

library ieee;
use ieee.std_logic_1164.all;
entity notetabs is
port (clk: in std_logic;
toneindex: out integer range 0 to 15);
end;
architecture one of notetabs is
   signal counter: integer range 0 to 3;
begin
cnt8:process(clk)
 begin
  if counter=3 then counter<=0;
elsif (clk'event and clk='1') then counter<=counter+1;
end if;
end process;
search : process(counter)
begin
case counter is
when 00 =>toneindex<=3;
when 01 =>toneindex<=3;
when 02 =>toneindex<=3;
when 03 =>toneindex<=3;
end case;
end process;
end;

警告:Ignored unnecessary INPUT pin 'clk'

怎么解决?有影响吗?

 

你的CLK 给优化了
jjkjjk你是什么意思?能说的明白点吗?
你的程序是不是有问题,怎么编译得你的clk信号不需要的结果?
返回列表