首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Xilinx FPGA与VHDL学习手记(2)

Xilinx FPGA与VHDL学习手记(2)

2、 资源库与程序包
在VHDL中写一个package并以文件名mylib.vhd保存在当前project目录下,要将一个package定义为一个library,调用时
Library work;
Use work.mylib.all;
IEEE 库、扩展库,不属于VHDL标准本身,需显式说明,所含程序包:
std_logic_1164 :需显式说明
std_logic_arith :需显式说明
std_logic_signed :需显式说明
std_logic_unsigned :需显式说明
WORK库:当前工作库
自定义库:取决于具体的VHDL编译系统
Library/Use说明语句,Library 语句,例:
Library IEEE,My_lib;
隐含说明:
library std;
library work;
Use语句,例:
Use ieee.std_logic_1164.all;
Use work.my_pack.all;
Use ieee.std_logic_1164.std_ulogic;
隐含说明:
use std.standard.all;
VHDL设计中的常用组合:
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_arith.all;
Use ieee.std_logic_signed.all;
(或Use ieee.std_logic_unsigned.all; )
Use work.your_pack.all;
或 signal rs: wired_together bit; -- 其中wired_toghther是决断函数
library UNISIM;
use UNISIM.VComponents.all;
包含ODDR等IO端口模块
返回列表