首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教vhdl和max+plusII的问题

请教vhdl和max+plusII的问题

我使用的是max+plusII10.0baseline,但在编译vhdl程序时经常提示不支持某些特性,如卫式块语句,wait for 语句等,例如:
entity s is
    port(a:in bit; qut bit);
end s;
architecture behave of s is
    begin
        proca:process
            begin
                q<=a;
                wait for 5 ns;
        end process proca;
end behave;
提示不支持,请问为什么?
返回列表