首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VHDL语言蜂鸣器

VHDL语言蜂鸣器

蜂鸣器输出报警声实验  一.实验目的 学习交流蜂鸣器的发音原理。 二.实验内容 在 SmartSOPC 实验箱上的蜂鸣器输出报警笛声。 三.实验原理 SmartSOPC 实验箱上有 1 个交流蜂鸣器 BUZZER,通过跳线 JP6 的 BEEP 与芯片相连接。 为了增强 I/O 口的驱动能力,在此采用了 PNP 型三极管,这样只要在 BEEP 上输入一定频率 的脉冲蜂鸣器就会发出音乐。 四.实验程序 实验源程序如下: Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity aa is Port( clk:in std_logic; beeput std_logic);
  end aa; Architecture m1 of aa is signal beep_r:std_logic; signal count:std_logic_vector(15 downto 0); begin beep<=beep_r; process(clk) begin if clk'event and clk='1' then count<=count+'1';
  end if; end process; process(count(15)) begin beep_r<=not beep_r ; end process; end m1; 五.实验步骤 (1) 、在 QuartusII 中建立一个工程项目文件 beep.qpf,并在该项目下新建 VHDL 源程序文 件输入源程序代码并保存。 (2) 、选择目标器件并对相应的引脚进行锁定,在这里在这里所选择的目标器件为 Altera 公司 Cyclone 系列的 EP1C12Q240C8 芯片,引脚锁定的方法如表 6.1,将未使用的管脚设置为 三态输入。
  表 1 引脚锁定方法
  信号 1C6 beep 175
  引脚 1C12 175 EDA 175
  信号 1C6 clk 28
  引脚 1C12 28 EDA 28
  (3) 、对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并更正错误,直 至编译成功为止。 (4)、最后拿出跳线短接帽跳接到 JP7 和 JP6 的 BEEP。拿出 Altera ByteBlasterII 下载电 缆,并将电缆的两端分别接到 PC 机的打印机并口和 QuickSOPC 核芯板上的 JTAixue 六.实验结果 (1) 、对工程文件进行全程编译处理后,程序执行成功。 (2) 、在 SmartSOPC 实验箱上,调试后可以听到蜂鸣器发出的“滴滴”声,实验成功。 七.实验小结及心得体会 实验比较成功,在实验过程中发现改变程序中的某些参数,就可以得到不同频率的蜂鸣声, 在问了老师之后,知道了缘由。这次实验让我学到了很多。 感谢安老师指导。
返回列表