首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

刚学VHDL,请教一下,

PORT
(signal y1,y2,y3,y4,y5,y6,y7 : IN STD_LOGIC;
signal vec : OUT STD_LOGIC_vector(2 downto 0)
);
我认为这里的;两个Signal没有必要用。不知你怎么看?
PORT
(signal y1,y2,y3,y4,y5,y6,y7 : IN STD_LOGIC;
signal vec : OUT STD_LOGIC_vector(2 downto 0)
);
我认为这里的;两个Signal没有必要用。不知你怎么看?
返回列表