首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

MapLib:93 - Illegal LOC on symbol "clk" (pad signal=clk) or BUFGP

MapLib:93 - Illegal LOC on symbol "clk" (pad signal=clk) or BUFGP

在调试fpga时,出现了如下错误,原因是把IBUFG分配给了普通的IO,晴高手指点,如何把普通IO用作GCLK。我的芯片是:XCV600E,编译环境ISE5.2I


MapLib:93 - Illegal LOC on symbol "clk" (pad signal=clk) or BUFGP symbol
   "clk_bufgp" (output signal=clk_bufgp), IPAD-IBUFG should only be LOCed to
   GCLKIOB site.

普通IO不能作为IBUFG的输入。你用IBUF代替IBUFG就可以了,然后如果想走到BUFG上,就在后面再例化一个BUFG
Poet with knife- Blood Romantic
返回列表