首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

用fpga存储固定波形,通过高速DA实现高速信号产生?

用fpga存储固定波形,通过高速DA实现高速信号产生?

要求:有一波形,时间宽度约1us,现在要求把这个波形存在存储器中,当某信号来时,把波形恢复成模拟信号。波形可以只取50点,这样每点的间隔为20ns。
方案:fpga加DA加运放。fpga控制50MHz的时钟,没有隔20ns送出一个数据到DA,实现波形产生。
问题:FPGA中能存储波形数据吗?存在FPGA中哪里即占用FPGA哪种资源?
以前没有用过FPGA,比较菜,希望大家指教
返回列表