首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

一个VHDL文件里可以包含多个ENTITY吗?

我認為是可以的.
我想也是可以的。呵呵[em27]
按照以前的vhdl标准是不可以的了,每个程序只能包含一个实体,但可以包含多个进程。
__▁▂▃▁__ ﹀    落霞与孤骛齐飞,     ﹀ _▁▂▃__    秋水共长天一色。   __▁▂▁___ ﹀ ﹋ ●      ﹋ ﹀      █▆▅▇▆▅▄▃▄▅▆▄▅▄▃▂▃▄▆▅▇▆▄▅█▇▆▄▂▃▅    -__~~--___-▔~~__--__~~~_---
我觉得你对VHDL的程序概念还不太理解。什么叫一个VHDL程序里有多个实体。

你认为呢?

那请问:你认为应该怎么说呢?[em07]
绝对不可以.在entity中定义了输入输出接口,是不能同时存在于一个vhd文件当中的.
而architecture可以有多个.
是不可以的,一个vhdl文件中只能有一个entity,但是可以用component将多个文件连接起来。
一个文件当然可以写多个entity,比如你给老师交实验报告,一个doc文件中当然有多个程序代码,这个时候当然就有多个entity拉.但是一个可以编译的(有用的)VHDL文件,只能含有一个entity.因为entity对应一个硬件模块,这个硬件模块的输入输出接口必然是固定的,不可能一会有6个输入,一会又变成8个.因此,只能有一个entity,这样编译器才能工作.
我认为不可以,但是可以有多个结构体!
直接写一个程序不就知道了,这还需要讨论吗?

一个VHDL文件里可以包含多个ENTITY吗?

一个VHDL文件里可以包含多个ENTITY吗?[em01]
返回列表