首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教:关于仿真延迟的问题

请教:关于仿真延迟的问题

我在进行同步时序电路设计的时候,没有发现任何延迟,请问这个正常吗?


所用软件:modelsim6.1


时钟信息:通过testbench产生时钟,大约在10m左右

你做的是前仿把
前仿真是不带任何延迟信息的
如果需要查看延时,需要做后仿
email:zhenzhen1017@163.com  
返回列表