首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助:一个verilog的程序

求助:一个verilog的程序

在实验中需要用到一个用verilog实现的六分频电路。


请大家赐教,我的邮箱:bbhp115@sohu.com


谢谢大家了!

十分感谢!
写个0-5的循环计数器. 输出加个比较器就可以了. 大于2出高
it should be:
if(reset)
num<=0;
else if(num==5)
num<=0;
else
num<=num+1

I will use
reg [1:0] cnt;
reg clk_out;

always @( posedge clk )
begin
if( reset )
cnt <= 0;
else if( cnt[1] )
cnt <= 0;
else
cnt <= cnt+1;
end

always @( posedge clk )
begin
if( reset )
clk_out <= 0;
else if( cnt[1] )
clk_out <= !clk_out;
end

以个学习Verilog的群 欢迎大家加入

36738095

加这个群遭拒了
返回列表