首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助:伪随机序列的产生?

我毕业设计的课题是
基于FPGA的组合m序列的设计及性能分析
如果哪位朋友有这方面的经验的话,指点一下.
谢谢!QQ:33535042
fsvcvxzdfffffffffffff

各位大虾们,帮个忙,能帮我弄个PN63伪随机序列产生电路吗?如果有VHDL语言的也可以啊.顺便给个2ASK,2FSK,(7,4)循环码或汉明码的电路或VHDL语言,先在这谢谢啊

[em60][em60][em60]
不是有公式吗。一套就行了。
真诚让沟通更简单! QQ:767914192
返回列表