首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]怎么设计一个3层的电梯控制器

[求助]怎么设计一个3层的电梯控制器

在CPLD电路板上实现一个电梯控制器,其中包括timer delay和预定按纽并同时会在发光二极管上显示电梯处于的位置,要一个状态图什么的,我有点糊涂了,请高手指点
先画个整个事件的流程图,再转化为状态图,会容易一些.关键是条件要考虑清楚了...
51 c8051f(f020,f040) msp430 arm(2410,2510) fpga(xc3s4000) dsp(5116 dm642) keilc vc++ matlab linux protel Ten_layerPCB mpegx h.26x Rscode Turbocode ofdm VideoBroadcasting ldpc_code(now!)
去搜索或者去书店,你要求的这个程序有很多很多例子
返回列表