首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问在如何把16位的数字量在CPLD的控制下写入RAM

用状态机读出16位的数字量,再写入RAM.
请学习VHDL语言。
我有2片RAM(HM628128),一片写高8位,一片写低8位,我现在不明白怎么产生地址(17位),怎么把16位的数据写进去,怎么选通地址单元,这3个东西怎么通过CPLD来实现,我会一点VHDL。麻烦你说的详细一点,我不太明白。谢谢您
我做过用cpld控制sram的项目,就是实现分页存储的功能,在弹片机的rom里拿出一个单元存储页码地址就可以的,不麻烦
可以说明白一点吗?我不是很清楚

请问在如何把16位的数字量在CPLD的控制下写入RAM

请问在如何把16位的数字量在CPLD的控制下写入RAM
返回列表