首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

如何仿真IP核(建立modelsim仿真库完整解析):资料

太感谢版主了。解燃眉之急啊
学习学习再学习
我是在ISE中直接调用Modelsim进行仿真的,IP核已经例化。但在仿真中还是报错
给解释一下吧
Loading work.testfifo_v
# Loading work.top1
# ** Error: (vsim-3033) top1.v(54): Instantiation of 'myfifo' failed. The design unit was not found.
# Region: /testfifo_v/uut
# Searched libraries:
# d:\Modeltech_xe\win32xoem/../xilinx/verilog/xilinxcorelib_ver
# d:\Modeltech_xe\win32xoem/../xilinx/verilog/unisims_ver
# work
# Loading work.glbl
# Error loading design
# Error: Error loading design
# Pausing macro execution
# MACRO ./testfifo_v.fdo PAUSED at line 8
学习学习再学习
学习一下!太谢谢了!
谢谢,学习学习!
看看先

    ____  ____
   /   /\/   /
  /___/  \  /    VENDOR      : Xilinx Inc.
  \   \   \/     VERSION     : 9.1.03i (J.33)
   \   \         APPLICATION : compxlib
   /   /         CONTENTS    : Compilation Log
  /___/   /\     FILENAME    : compxlib.log
  \   \  /  \    CREATED ON  : THU 26 APR 20:32:27 2007
   \___\/\___\

XILINX = 'C:\Xilinx91i'
Library Source => 'C:\Xilinx91i'

Compilation Mode = FAST
Scheduling library compilation for VIRTEX-II

Signature:-
------------------------------------------------------------------------------
compxlib -s mti_se
         -arch virtex2
         -lib unisim
         -lib simprim
         -lib xilinxcorelib
         -l vhdl
         -dir C:\Xilinx91i
         -log compxlib.log
         -w
------------------------------------------------------------------------------
ERROR:CAEInterfaces - COMPXLIB[env]: unable to automatically find simulator (mti_se) executables.

就是这个,我按照文档操作的,附A部分,在ise中调用modelsim,在ise中编译库时出现错误,怎么办?开了个新贴。

[此贴子已经被作者于2007-4-26 20:48:17编辑过]

谢谢
正需要这方面的东西呢 1# pengyoubieku
WWWWWWWWWWWWWWWWWWWWW
SSSSSSSSWEFRTRTTTTTTTTT
1# pengyoubieku


感谢呀!!!!!!!!!
下载来学习下.
看看!!!能不能找到问题的答案
1# pengyoubieku

看看,谢谢楼主了
来学习一下!
返回列表