首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

在ise综合时如何使用block ram

我是用的IP直接生成的,两个双口RAM:18bit*1024,两个ROM:16bit*256,但在ISE综合结果中显示用了12个BRAM。采用Virtex II XC2V1000,内部有40个BRAM,每个RAM块容量是18Kbit,为何结构显示内部有10个BRAM,所以资源利用超出范围。谢谢!
返回列表