首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

在Xilinx中能编译Vhdl和Verilog的混合工程吗?

先综合成.edn or .ngc,然后就可以一起输入ngdbuild(translation)了

在Xilinx中能编译Vhdl和Verilog的混合工程吗?

请问在Xilinx中能编译Vhdl和Verilog的混合工程吗?
返回列表