首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

怎样实现在两个Process中对同一个信号赋值。

不能
假如我想在clk得上升沿到时赋值,下降沿时也赋值,怎么实现,同一个进程?
铁血丹心,精忠报国
不能同时用上升沿和下降沿
可以在两个Process用信号变量,然后在复值
多谢!
铁血丹心,精忠报国
一种方法是通过移项、异或将时钟的上升沿与下降沿都转为另一时钟的上升沿

怎样实现在两个Process中对同一个信号赋值。

怎样实现在两个Process中对同一个信号赋值。
@(posedge clear or negedge reset)无论是上升还是下降都能发生
返回列表