首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我想在两个输入信号的上升沿对同一信号赋值,该怎么办?

Protel99入门与提高》RMB:45.00
Protel99电路设计实用指南》 人民邮电出版社 2000年7月SBN 7-115-08554 RMB:31.00
Protel99SE电路设计技术入门与应用》李东生等编著。出版日期:2002年2月,330页。邮购价:37.00元。
Or you can view the below htm file:
http://www.cadpro.com.cn/user%20c%20d/kuaisurumen.htm
谢谢总版主!
请问斑竹,怎么使用protell的自动生成pcb,还有怎么用它的模拟功能啊?
ghost.........
在sch中建立网表,然后在pcb文件中导入网表、封装就行了。
glssg
请问怎么自动布线?
不可能。               
只能想想等效或变通的手段,说说你的具体要求。
比如说在第一个信号的上升沿赋值,在另外一个信号的上升沿请零,该怎么办,谢谢!
把后一个信号转换为一个脉冲信号,作为同步或异步清零
毛毛雨,简单拉,把这两个信号做成一个state machine, 再由state machine 来控制。
1 使用状态机是个好方法。               
2 也可以使用其他方法的。呵呵。
在原理图编辑的时候,怎么才能实现从两个引脚输入到同一个信号上呢?       
在编译的时候的出错信息为:the signal have multiple drives.
几乎是不可能!       
很多错误都是因为在两个进程里对同一个信号赋值产生的。你可以把两个信号同时加到一个进程的条件中去。
用变通的方法,可否?
先把2个输入信号合并成一个,比如你把需要用的第一个信号的上升沿和第二个信号的上升沿合成一个先上升再下降的信号,然后可以用这个信号(的上升沿和下降沿)作为敏感信号了。
返回列表