首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我想在两个输入信号的上升沿对同一信号赋值,该怎么办?

上升沿下降沿同时触发好像比较困难阿,高人们教教我吧
根据你的说法,给你做了 library ieee; use ieee.std_logic_1164.all; entity dp is port (one,zero:in std_logic; output:buffer std_logic); end; architecture arch of dp is signal clk:std_logic; signal cho:std_logic; begin p1:process begin if cho='0' then clk<=one; else clk<=zero; end if; end process p1; p2:process(clk) begin if rising_edge(clk) then cho<=not cho; end if; end process p2; output<=cho; end arch;
用状态机可以很好的解决呀

我想在两个输入信号的上升沿对同一信号赋值,该怎么办?

我想在两个输入信号的上升沿对同一信号赋值,该怎么办呀。
PCAD的LAYOUT功能比起PROTEL ,POWER PCB更方便易学。
共享资源
画好SCH,点击菜单的DESIGN/UPDATE PCB 弹出界面,默认里面的选项,选击PREVIEW CHANGE 预缆是否错误,没错误报告就可以击EXECUTE。这时你的问题就解决了。
共享资源
返回列表