首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我想在两个输入信号的上升沿对同一信号赋值,该怎么办?

把后一个信号转换为一个脉冲信号,作为同步或异步清零
返回列表