首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

vhdl中如何指定延时的多少呢?望大家指导!!!!!

CPLD的口双向性可以解决吗?

请大家看看!!!
好像目前我所接触到的设计软件对于VHDL语言的延时语句都不太支持,Verilog 好像可以。
任何语句都不行!!
用高频率的时钟做寄存器,控制寄存器的级数,就可以产生差不多的延迟。
就我所知,vhdl中的延迟,只能仿真,很难硬件准确实现。
一般的来说,这个时延语句是实行不了的啦,由于硬件的问题,很难实现!
无法实现延时,除非靠门与门之间的传输延时
在max里  可以用 lcell 实现5ns的延时

vhdl中如何指定延时的多少呢?望大家指导!!!!!

我是个新手,我一直不清楚如何指定时间的多少.希望您指导一下
如果是利用门与门之间的延迟,在进行FIT DESIGN时,一定会优化掉,
所以必须在语言中对不要优化掉的逻辑门进行保留说明。
返回列表