首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助:CPLD里Z状态如何实现74373

ELSIF (DLE='1' AND DOE='1') THEN
DDD<="ZZZZ";
ELSIF (DLE='0' AND DOE='1') THEN
DDD<="ZZZZ";
这里两句有点问题呀 你自己好好看看咯
还有就是这ELSIF写完之后后面要接个
else
ddd<="????"; 其中的问号要看你实际电路了呀 着说名当DDD为其他状态时 怎么赋值呀
返回列表