首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]初来乍到 问一个简单的问题呀 有关于 十进制加法器的

[求助]初来乍到 问一个简单的问题呀 有关于 十进制加法器的

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity adder is
port(cin:in std_logic;
     a,b:in std_logic_vector(3 downto 0);
       sut std_logic_vector(3 downto 0);
      cout std_logic);
end adder;
architecture behav of adder is
signal temp:std_logic_vector(4 downto 0);
begin
   process(a,b,cin)
  begin
     temp<=('0'&a)+b+cin;
      if(temp(3 downto 0)>9 or (temp(4)='1')then
         s<=temp(3 downto 0)+6;
         co<='1';
   else
     s<=temp(3 downto 0);
     co<='0';
    end if;
  end process;
end behav;


unexpected end-of-file
-try using the Text Editor's Syntax Coloring command to find the missing
delimiter or keyword

就是error:unexpected end-of-file-try using the Text Editor's Syntax Coloring command to find the missing delimiter or keyword
什么意思呀 报错 不董呀 谁帮着看看呀 好急呀

大哥
你这里 (temp(4)='1'多了一个括号
╔☆→────────────────←☆╗
┊寻觅在电子中, , , 寻求适合自己的一席┊┊┊┊
┊也许生活本忙碌,  想享受安逸只能是心态了吧┊
╚☆→────────────────←☆╝      &
返回列表