首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VHDL的一个疑问!

VHDL的一个疑问!

请问:VHDL里的子程序调用语句和元件例化语句,它们的优缺点是什么? 在什么情况下用哪个语句比较好! 帮指点一下!谢谢!
返回列表