首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求救,一个应该是比较简单的问题!可是我不会!

我的程序如下! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity example is port(clka:in std_logic; sw_rst:in std_logic; dsput std_logic); end example; architecture rtl of example is signal clk:std_logic; signal q:std_logic_vector(7 downto 0):="00000000"; begin clk<=clka and (not q(7)); process(clk) begin if(clk'event and clk='1') then q<=q + '1'; end if; dsp<=sw_rst and q(7); end process; end rtl; 现在就是在用手动复位sw_rst 为低的时候dsp要有足够的延时,这个我就不会做了!

[此贴子已经被作者于2003-8-28 18:20:53编辑过]

上面的程序的仿真结果如下,大家看看!





[此贴子已经被作者于2003-8-28 18:37:26编辑过]

是不是DSP信号与RST同时为低,然后DSP延迟一段时间后恢复为高?如果是的,则:
应该用复位信号去触发计数器和控制DSP,再通过计数器计数到一定的时候去控制DSP信号恢复。
是不是DSP信号与RST同时为低,然后DSP延迟一段时间后恢复为高?如果是的,则:
应该用复位信号去触发计数器和控制DSP,再通过计数器计数到一定的时候去控制DSP信号恢复。在仿真波形中,计数器的工作被封锁了,不能再一次工作

求救,一个应该是比较简单的问题!可是我不会!

我用的是MAX+PLUS2软件,要求是input:RESET(手动复位),output:复位信号(有足够的延时,比如是400ms)我用的是计数器来实现,可是怎么也实现不了!特来求救!
另,在系统上电复位时的延时(400ms),我已经做好了。
是DSP信号与RST同时为低,然后DSP延迟一段时间后恢复为高,我也是用复位信号去触发计数器,可是在编译的时候,通不过,(顺便说一下,我用的是MAX+PLUS2软件),计数器工作的停止是我要停止的,那样在上电复位后不至于一直在计数。后来用手动复位时可以让计数器重新启动(q(7)=‘0‘就可以了),也可以再用一个计数器的。可以现在我做不了,连编译都通不过,没有办法!
返回列表