首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

疯了快!!老大们,快帮忙!!

疯了快!!老大们,快帮忙!!

各位老大们!小弟刚转到xilinx没多久,现在遇到了麻烦,请帮忙
第三方给我的ngo文件,单独综合布局布线一点问题都没有。
可是我如果把他作为子模块嵌入到我的模块中,老是报以下的错误
大家帮我看看,我该怎么解决?
NgdBuild:604 - logical block 'FOTG200_CHIP' with type 'FOTG200_CHIP' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, or the misspelling of a type name. Symbol 'FOTG200_CHIP' is not
   supported in target 'virtex'.


谢谢!!

安装软件最新的补丁,ISE82+SP1。
V4的FX系列的FIFO16有问题。看Errata。

嗯,估计是你软件的补丁没有打上,你试一下,另外,如果问题解决了,说一声,让大家看看是不是这个原因
我不是高手
可以试试在项目中重新生成一下IPCORE,有时候在新项目中引用己产生的IPCORE时,有些IPCORE文件并没有拷入新项目中去,会导致这种情况
好象是你网表的WRAPPER和网表的端口不一致
 
返回列表