首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

VHDL设计D触发器,一个很简单的问题,但总是出错

你的这段程序既不是D触发器,也不是T触发器
你把两者搞反了
你再仿真一下,我好象没有这个问题呀.是不是你的编译器的问题呀.
返回列表