首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问怎样在顶层文件中使用多个子文件的输入输出端?

对元件进行例化就可以了,书上可以找到现成的例子. 比如底层是一十分频的分频器在顶层加以调用 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY FB10 IS PORT( CK : IN STD_LOGIC; OUT1 : OUT STD_LOGIC ); END FB10; ARCHITECTURE a OF FB10 IS SIGNAL D: STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL TEMP: STD_LOGIC; BEGIN PROCESS(ck) ????BEGIN IF ck'EVENT AND ck='1'??THEN IF D<4 THEN D<=D+1; ELSE D<="000"; TEMP<=NOT TEMP; END IF; END IF; END PROCESS; OUT1<=TEMP ; END a; 顶层 ARCHITECTURE a OF FBCON IS ... .. .. COMPONENT FB10 PORT( CK : IN STD_LOGIC; OUT1 : OUT STD_LOGIC ?????????? ); END COMPONENT; begin U2: FB10 PORT MAP(CK=>CON1,OUT1=>CON2); ... ... end a;

请问怎样在顶层文件中使用多个子文件的输入输出端?

请问怎样在顶层文件中使用多个子文件的输入输出端?是不是要用到状态机,请哪位大侠能够交交我,不胜感激!!!!
你把所有的子文件都列出,然后定义一些信号作为连接,就可以应用多个子文件了!如果还不明白,给我发email
我是天堂的使者,向我倾诉吧
返回列表