首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

rrd的问,这个错误什么意思。。。

rrd的问,这个错误什么意思。。。

才开始学习QuartusII和Verilog,编了两个简单的模块,Complier的时候却发现这个错误

Error: Can't synthesize current design -- design does not contain any logic

什么意思啊?

返回列表