首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]ISE支持移位运算符吗??

[求助]ISE支持移位运算符吗??

在ISE中编写一段VHDL代码,对一个std_logic_vector型的数据用到移位运算符sll,可是就是编译不通过。本人乃新手,请各位大侠多多指教哦!!谢谢!
The way you choose to see the world create the world you see! naea@vip.eyou.com
返回列表