首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教quartus2 verilog编译问题

请教quartus2 verilog编译问题

Error: Can't synthesize current design -- design does not contain any logic  这个错误是怎么回事?  先谢了!!!

源程序:

`include "adder4.v"

`timescale 1ns/1ns

module adder_tp;
reg[3:0] a,b;
reg cin;
wire[3:0] sum;
wire cout;
integer i,j;

adder4 myadder(cout,sum,a,b,cin);
always #5 cin=~cin;


initial
begin
a=0;b=0;cin=0;
for(i=1;i<16;i=i+1)
#10   a=i;
end

initial
begin
for(j=1;j<16;j=j+1)
#10   b=j;
end

initial
begin
$monitor($time,,,"%d + %d + %b={%b,%d}",a,b,cin,cout,sum);
#160  $finish;
end
endmodule

返回列表