首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

高手进来帮我

高手进来帮我

 用VerilogHDL语言设计数字密码锁。谁会啊,帮帮我啊
能说一下具体实现功能,要求吗
每一天都是新的开始,每一天都有新的收获

看看这个程序可行

module codelock(
   indata,         // 密码锁输入数字
   set,            // 重新设置密码
   outdata         // 开关控制信号
);
parameter IN_MSB = 5;   // 假定密码锁位数为6

input[IN_MSB:0] indata;
input           set;
output          outdata;

wire[IN_MSB:0] rightkey;

// 只有在密码锁开启状态下可以重新设置密码
assign rightkey = (outdata==1&&set==1) ? indata : rightkey; 

// 当输入数字与当前设置密码匹配时输出开关控制信号
assign outdata = (indata == rightkey) ? 1 : 0;

endmodule

返回列表