朋友,首先问一下,你仿真结果对吗?你的count是32次循环的,为什么到8就循环呢? 我不大懂交织器,但我看了一下很像fft得到位序地址生成,下面是我编的,你看看对你有没有用, begin process(clk) variable a,b:std_logic_vector(15 downto 0); variable count:std_logic_vector(3 downto 0); variable c,ca:integer range 0 to 15; begin if(clk'event and clk='1')then ca:=conv_integer(count); c:=conv_integer(count(0)&count(1)&count(2)&count(3)); qout<=b(c); a(ca):=din; case count is when "1111"=> b:=a; count:="0000"; when others=>count:=count+1; end case; end if; end process; 一共用了55个逻辑们,你看看能不能在优化一下吧 [em08][em08][em08][em08][em08] |