首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ISE中使用system generate

ISE中使用system generate

本文讲解简单的ISE中使用system generate,system generate基本使用规则在此不详细说明可以见博客 http://xilinx.eetrend.com/blog/7108
需要工具
1:ISE14.7(带有system generate 14.7)
2:matlab R2012b

实现-模型
在simulink 中建立一个模型如下,其中的MCode就是.m文件的连接模块。


实现-.m文件
点击Edit-File,然后编辑.M文件
function [out1,out2,out3] = simplefunc(dain)
out1 = dain/4;
out2 = dain*8;
out3 = dain+100;




实现-generate HDL代码
生成HDL代码:


生成以后可以在netlist目录下找到我们刚才生成的源文件
实现-ISE工程
建立ISE工程不详细说明,默认都会基本的操作.就是将我们的.xise文件添加至工程中,类似于IP core的使用方法一样,使用方法可以参照template


实现-ISE testbench 编写
module tb_top;

// Inputs
reg clk;
reg ce;
reg [15:0] gateway_in1;

// Outputs
wire [18:0] gateway_out;
wire [15:0] gateway_out1;
// Instantiate the Unit Under Test (UUT)
top uut (
.clk(clk),
.ce(ce),
.gateway_in1(gateway_in1),
.gateway_out(gateway_out),
.gateway_out1(gateway_out1)
);

initial begin
// Initialize Inputs
clk = 0;
ce = 0;
gateway_in1 = 0;

// Wait 100 ns for global reset to finish
#100;
ce =1;
// Add stimulus here

end
always #5 clk = ~clk;
always #10 gateway_in1 =gateway_in1 + 1 ;
endmodule

实现-ISE 仿真


来源:xiabodan的专栏
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表