首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助:用VHDL写74l373遇到的问题

你写的代码没有问题,就是iNUM没有定义,你可用直接写成是i,再就是两个文件要再同一个工程下编译,我已经按这要求改好并且编译和仿真成功

返回列表