首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

刚开始学习VHDL的小菜鸟求助,请各位达人救救我

刚开始学习VHDL的小菜鸟求助,请各位达人救救我

 我才开始用MAXPLUSII这个软件,是最新版本的,所以就输入了如下代码小弑牛刀:

library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux IS
PORT (d0,d1: IN BIT;
  sel:IN BIT;
  q:OUT BIT);
END mux

ARCHITECTURE dataflow OF mux IS
BEGIN
q=(do AND sel)OR(NOT sel AND d1);
END dataflow

当我CHECK的时候,程序显示有一个错误:Expected ASSERT,CONSTANT,DEFINE,DESIGN,FUNCTION,IF, OPTIONS,PARAMETERS,SUBDESIGN,OR TITLE but found a symbolic name "library"

这个是什么错误啊,我不知道该怎么改正,请达人们赐教

[em09]

论坛 专业解决您在FPGA中遇到的难题

[此贴子已经被caopengly于2007-2-11 12:32:14编辑过]

谢谢兄弟啦~
返回列表