首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

赛灵思(xilix)ISE生成文件与下载_MZ

赛灵思(xilix)ISE生成文件与下载_MZ

工程文件建好后,修改.V 文件,定义管脚分配,点击.ucf,下面的窗口中选择User Constrains->
Edit Constrains(Text)。双击后修改管脚分配,不多说
对于未定义的管脚设置状态
1 FPGA在出厂后未配置前管脚是三态的么/高阻?

2 FPGA在配置后如果不给主时钟,管脚是三态的么/高阻?
3 FPGA在配置后未使用引脚默认状态是什么,可以在ISE选择UNUSED PIN 的状态么(缺省是什么),在哪里设置?实际测量好像是低但看不出是输入还是输出
1.应该是高电平或高阻态的吧;
2.要看管脚输出的信号是时序的还是逻辑的,逻辑电路的话没有时钟也可以输出,时序电路的话还是初始状态,高电平或高阻态
3.未使用引脚默认状态是0吧,设置unused pin的,Generate programming File上点右键选Properties..
在Configuration Option里有个Unused IOB PINs,里面有PULLUP,PULLDONW和FLOAT,可设置不使用PIN脚的状态

u 修改完后保存,双击Generate programming File,有错排错,不多说。
STEP1打开iMPACT(双击manage configuration project),Creat PROM File--,选好后点击向右的绿色箭头,
STEP2根据你选用的配置Flash选型号,点击Add Storage Device加入
STEP3选好后点击向右的绿色箭头,output file name 里填写你想要生成的Flash配置文
件名, output file location为生成文件保存路径,其它选项不用改,默认。选好后OK,会在对应路径下生成下载文件 (后缀.MCS)。注意Flash配置文件为mcs文件,直接通过下载线下到FPGA的为bit型文件,下载设置中我们会看到。
u 点击OK后提示你加入器件,可一次加入多个烧写链,这里选我们对应型号加入1个,对一下型号是否正确,BIT文件是否正确,选好后双击左侧窗口中Generate File…
由此生成了供FLASH配置下载的.MCS文件。
u 还是iMPACT窗口中,双击boundary scan,右侧空白窗口中右键单击,打开板子的电源给FPGA和下载芯片供电。
出来的菜单中选择Initialize Chain,会自动识别芯片并加入chain,弹出的选项中选YES,分别配置下载文件,MCS对应路径下载入FLASH,BIT下载入FPGA。
弹出的窗口中可选默认,擦除器件等选项,OK,右键单击Flash器件或FPGA器件,菜单可选重新定义配置文件Assign New Configration…或直接下载program,下载完后应显示SUCCESS。其中FLASH器件的配置时间较长,且配置完后需要重新上电才会由Flash进入FPGA,而FPGA器件的直接Program速度较快,下载完后直接执行。
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表