首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

在ISE中直接调用chipscope进行在线逻辑分析(2)---‘连载――我与FPGA活动’

在ISE中直接调用chipscope进行在线逻辑分析(2)---‘连载――我与FPGA活动’

关于chipscope中各模块的说明、调用等方法在以上的参考资料中都有详细说明,在这里就不详细叙述了。在此仅以一个简单的例子为例,说明一下我在ISE中直接调用chipscope Pro Analyzer进行在线逻辑分析的实现方法。
首先在ISE中建立一个工程,并输入如下的代码:

`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 21:26:06 02/26/2010
// Design Name:
// Module Name: mycounter
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module mycounter(
input clk,
// input reset,
output sout
);
reg [19:0] cnt=0;
always @(posedge clk)begin
/*        if(!reset)
cnt<=0;
else*/
cnt<=cnt+1;
end
assign sout=cnt[19];
endmodule
这里为了简单起见,把同步复位等信号也给屏蔽掉了。我使用的是自制的Spartan3的板子,其中FPGA选择Xilinx公司的XC3S400-TQC144G;PROM 选择Xilinx公司的XCF02SV0G20C,FPGA的时钟管脚是P55;ISE套件使用的12.2版本。然后在PlanAhead中分配I/O管脚如下:

# PlanAhead Generated physical constraints
NET "clk" LOC = P55;
//NET "reset" LOC = P11;
NET "sout" LOC = P12;

接下来对代码进行综合,无误之后开始创建Chipscope的核。首先在ISE Project Navigator中点击Project---New Source Wizard,选择Chipscope Definition and Connection Wizard,输入文件名,并一直点Next生产.cdc文件,如图1所示。

图1 生产chipscope文件
然后在工程文件视图中双击刚刚生产的.cdc文件,则进入Chipscope的设置界面,如图2所示。

图2 工程文件视图
进入Chipscope,首先出现是图3所示的ICON核的界面。

图3 ICON界面
点击两次Next之后,进入到了ILA核的触发参数设置界面。“触发”的含义自然是,在什么条件下使能“示波器”来采集波形。这里的触发深度(trigger width)选择为20(因为前面程序中定义了reg [19:0] cnt),匹配方式选择为“basic”,其余参数在简单的设计中一般不需要更改(较为复杂的设置可详细参阅参考资料)。

附件大小tu_1_xin_jian_cdcwen_jian_.jpg64.98 KBtu_2.jpg22.17 KBtu_3_iconjie_mian_.jpg97.62 KB
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表