首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Component 组件问题

Component 组件问题

请问一下,在Component Editor中,用VHDL编写的硬件组件怎样访问他的寄存器,在inc目录下的寄存器映射文件,*_regs.h文件里定义的寄存器。

component是将vhdl的模块封装成元件,供nios系统调用,你说的访问他的寄存器,是指的谁的什么寄存器。请说明详细些。

不管怎样,都应该是由硬件逻辑电路支持相应的操作才行。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

inc目录下的文件时系统的驱动程序。是系统软件使用的。

不是硬件描述vhdl生成或调用的。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

你用vhdl编写的应该是从设备,一般不可能对系统生成的寄存器进行访问,除非你做一个dma设备可以访问某些地址。

在交流中前进,共同实现nios的应用。
返回列表